Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares

Detalhes bibliográficos
Autor(a) principal: Arias García, Janier
Data de Publicação: 2014
Tipo de documento: Tese
Idioma: por
Título da fonte: Repositório Institucional da UnB
Texto Completo: http://repositorio.unb.br/handle/10482/18190
http://dx.doi.org/10.26512/2014.11.T.18190
Resumo: Tese (mestrado)—Universidade de Brasília, Faculdade de Tecnologia, Departamento de Engenharia Mecânica, 2014.
id UNB_9c2e5b84a42467ed62c244f8206a9043
oai_identifier_str oai:repositorio.unb.br:10482/18190
network_acronym_str UNB
network_name_str Repositório Institucional da UnB
repository_id_str
spelling Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas linearesArranjos de lógica programável em campoSistemas linearesÁlgebra linearArquitetura de computadorTese (mestrado)—Universidade de Brasília, Faculdade de Tecnologia, Departamento de Engenharia Mecânica, 2014.Este trabalho apresenta um estudo da implementação de operadores matriciais para solução numérica de sistemas lineares em FPGAs (Field Programmable Gate Arrays). As arquiteturas foram baseadas nos métodos diretos QR, de Schur, assim como na Eliminação Gaussiana. Os métodos foram desenvolvidos usando topologias orientadas a controle e fluxo de dados com representação aritmética de ponto flutuante, permitindo explorar o paralelismo intrínseco dos diferentes algoritmos para solução de sistemas lineares. Desta forma, mantendo o controle da propagação do erro e ganhos de desempenho em termos do tempo de execução, visando a sua aplicabilidade em problemas inversos. As arquiteturas foram desenvolvidas para obter a inversa de uma matriz assim como a solução de um sistema de equações lineares, baseados no método de eliminação Gaussiana (ou sua variante Gauss-Jordan). Além disso, neste trabalho foi proposta e implementada uma nova arquitetura baseada no método de Schur formada pelos seguintes circuitos: QRD-MGS (QR Decomposition via Modified Gram-Schmidt), MMM (Multiplicação Matriz-Matriz) e MDTM (Multiplicação-Diagonal-Transposta-Matriz). Adicionalmente, estudos de consumo de recursos para diferentes tamanhos de matrizes assim como uma análise da propagação do erro foram realizados no intuito de verificar a aplicabilidade dos algoritmos em arquiteturas reconfiguráveis. Neste trabalho, o modulo de Eliminação Gaussiana desenvolvido foi usado para apoiar os cálculos de uma rede neuronal do tipo GMDH na predição da estrutura 3D de uma proteína. Finalmente, foram implementadas duas metodologias, Fusão de Datapath para manter o controle da propaga ção de erro usando apenas uma representação com precisão simples e a Verificação/Validação para realizar uma padronização na validação dessas implementações.This work presents a study on the implementation of matrix operators for the numerical solution of linear systems on FPGAs (Field Programmable Gate Arrays). The architectures were based on direct methods such as QR, Schur as well as the Gaussian elimination. The methods were developed using topologies oriented to both control and to data-flow with a floating point arithmetic representation, exploring the intrinsic parallelism of different algorithms for solving linear systems. Thus, the developed architectures have been achieved maintaining both the control of the error propagation and performance gains in terms of runtime, seeking their applicability in inverse problems. The architectures have been developed to deal with the inverse of a matrix as well as for solving a system of linear equations based on the Gaussian elimination method (or its Gauss-Jordan variant). Additionally, this work has proposed and implemented a novel architecture based on the Schur method composed of the following circuits: QRD-MGS (QR Decomposition via Modi_ed Gram-Schmidt), MMM (Matrix-Matrix Multiplication) and MDTM (Matrix-Diagonal-Transpose-Multiplication). Furthermore, this work presents studies of the resource use for different sizes of matrices as well as the error propagation analysis in order to verify the applicability of the algorithms on reconfigurable hardware. Additionally, the Gaussian elimination module developed in this work was used to support the calculations of a GMDH neural network on an application to predict the 3D structure of a protein. Finally, two methodologies were implemented, the Datapath Fusion to maintain the control of the error propagation using only one representation with single precision and the Verification/Validation to create a benchmark to validate the results of the hardware implementations.Llanos Quintero, Carlos HumbertoHübner, MichaelArias García, Janier2015-05-18T13:42:43Z2015-05-18T13:42:43Z2015-05-182014-11-14info:eu-repo/semantics/publishedVersioninfo:eu-repo/semantics/doctoralThesisapplication/pdfARIAS GARCIA, Janier. Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares. 2014. xviii, 178 f., il. Doutorado (Tese em Sistemas Mecatrônicos)—Universidade de Brasília, Brasília, 2014.http://repositorio.unb.br/handle/10482/18190http://dx.doi.org/10.26512/2014.11.T.18190A concessão da licença deste item refere-se ao termo de autorização impresso assinado pelo autor com as seguintes condições: Na qualidade de titular dos direitos de autor da publicação, autorizo a Universidade de Brasília e o IBICT a disponibilizar por meio dos sites www.bce.unb.br, www.ibict.br, http://hercules.vtls.com/cgi-bin/ndltd/chameleon?lng=pt&skin=ndltd sem ressarcimento dos direitos autorais, de acordo com a Lei nº 9610/98, o texto integral da obra disponibilizada, conforme permissões assinaladas, para fins de leitura, impressão e/ou download, a título de divulgação da produção científica brasileira, a partir desta data.info:eu-repo/semantics/openAccessporreponame:Repositório Institucional da UnBinstname:Universidade de Brasília (UnB)instacron:UNB2023-07-07T21:17:49Zoai:repositorio.unb.br:10482/18190Repositório InstitucionalPUBhttps://repositorio.unb.br/oai/requestrepositorio@unb.bropendoar:2023-07-07T21:17:49Repositório Institucional da UnB - Universidade de Brasília (UnB)false
dc.title.none.fl_str_mv Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
title Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
spellingShingle Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
Arias García, Janier
Arranjos de lógica programável em campo
Sistemas lineares
Álgebra linear
Arquitetura de computador
title_short Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
title_full Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
title_fullStr Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
title_full_unstemmed Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
title_sort Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares
author Arias García, Janier
author_facet Arias García, Janier
author_role author
dc.contributor.none.fl_str_mv Llanos Quintero, Carlos Humberto
Hübner, Michael
dc.contributor.author.fl_str_mv Arias García, Janier
dc.subject.por.fl_str_mv Arranjos de lógica programável em campo
Sistemas lineares
Álgebra linear
Arquitetura de computador
topic Arranjos de lógica programável em campo
Sistemas lineares
Álgebra linear
Arquitetura de computador
description Tese (mestrado)—Universidade de Brasília, Faculdade de Tecnologia, Departamento de Engenharia Mecânica, 2014.
publishDate 2014
dc.date.none.fl_str_mv 2014-11-14
2015-05-18T13:42:43Z
2015-05-18T13:42:43Z
2015-05-18
dc.type.status.fl_str_mv info:eu-repo/semantics/publishedVersion
dc.type.driver.fl_str_mv info:eu-repo/semantics/doctoralThesis
format doctoralThesis
status_str publishedVersion
dc.identifier.uri.fl_str_mv ARIAS GARCIA, Janier. Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares. 2014. xviii, 178 f., il. Doutorado (Tese em Sistemas Mecatrônicos)—Universidade de Brasília, Brasília, 2014.
http://repositorio.unb.br/handle/10482/18190
http://dx.doi.org/10.26512/2014.11.T.18190
identifier_str_mv ARIAS GARCIA, Janier. Implementação em hardware reconfigurável de operadores matriciais para solução numérica de sistemas lineares. 2014. xviii, 178 f., il. Doutorado (Tese em Sistemas Mecatrônicos)—Universidade de Brasília, Brasília, 2014.
url http://repositorio.unb.br/handle/10482/18190
http://dx.doi.org/10.26512/2014.11.T.18190
dc.language.iso.fl_str_mv por
language por
dc.rights.driver.fl_str_mv info:eu-repo/semantics/openAccess
eu_rights_str_mv openAccess
dc.format.none.fl_str_mv application/pdf
dc.source.none.fl_str_mv reponame:Repositório Institucional da UnB
instname:Universidade de Brasília (UnB)
instacron:UNB
instname_str Universidade de Brasília (UnB)
instacron_str UNB
institution UNB
reponame_str Repositório Institucional da UnB
collection Repositório Institucional da UnB
repository.name.fl_str_mv Repositório Institucional da UnB - Universidade de Brasília (UnB)
repository.mail.fl_str_mv repositorio@unb.br
_version_ 1810580888813043712