Protecting digital circuits against hold time violations due to process variations

Detalhes bibliográficos
Autor(a) principal: Neuberger, Gustavo
Data de Publicação: 2007
Tipo de documento: Tese
Idioma: eng
Título da fonte: Biblioteca Digital de Teses e Dissertações da UFRGS
Texto Completo: http://hdl.handle.net/10183/12924
Resumo: Com o desenvolvimento da tecnologia CMOS, os circuitos estão ficando cada vez mais sujeitos a variabilidade no processo de fabricação. Variações estatísticas de processo são um ponto crítico para estratégias de projeto de circuitos para garantir um yield alto em tecnologias sub-100nm. Neste trabalho apresentamos uma técnica de medida on-chip para caracterizar violações de tempo de hold de flip-flops em caminhos lógicos curtos, que são geradas por incertezas de borda de relógio em projetos síncronos. Usando um circuito programável preciso de geração de skew de relógio, uma resolução de medida de ~1ps é alcançada para emular condições de corrida. Variações estatísticas de violações de tempo de hold são medidas em tecnologias CMOS de 130nm e 90nm para diversas configurações de circuitos, e também para diferentes condições de temperatura e Vdd. Essas violações são um ponto crítico em projetos grandes com milhares de caminhos curtos, pois se apenas um desses caminhos falhar, todo o circuito não vai funcionar em qualquer freqüência. Usando os resultados medidos, a variabilidade é dividida entre sistemática e randômica residual usando métodos matemáticos. Testes de normalidade são aplicados a estes dados para verificar de eles são Gaussianos normais ou não. A probabilidade de violações de tempo de hold considerando nossos resultados medidos e skews de relógio típicos é calculada, mostrando que o problema de violações de tempo de hold aumenta com o avanço da tecnologia. Finalmente, um algoritmo para proteger circuitos digitais contra violações de tempo de hold em caminhos curtos é apresentado.
id URGS_2b8ab109008bbcbac757638123aefd40
oai_identifier_str oai:www.lume.ufrgs.br:10183/12924
network_acronym_str URGS
network_name_str Biblioteca Digital de Teses e Dissertações da UFRGS
repository_id_str 1853
spelling Neuberger, GustavoReis, Ricardo Augusto da LuzWirth, Gilson Inacio2008-05-27T04:12:17Z2007http://hdl.handle.net/10183/12924000628018Com o desenvolvimento da tecnologia CMOS, os circuitos estão ficando cada vez mais sujeitos a variabilidade no processo de fabricação. Variações estatísticas de processo são um ponto crítico para estratégias de projeto de circuitos para garantir um yield alto em tecnologias sub-100nm. Neste trabalho apresentamos uma técnica de medida on-chip para caracterizar violações de tempo de hold de flip-flops em caminhos lógicos curtos, que são geradas por incertezas de borda de relógio em projetos síncronos. Usando um circuito programável preciso de geração de skew de relógio, uma resolução de medida de ~1ps é alcançada para emular condições de corrida. Variações estatísticas de violações de tempo de hold são medidas em tecnologias CMOS de 130nm e 90nm para diversas configurações de circuitos, e também para diferentes condições de temperatura e Vdd. Essas violações são um ponto crítico em projetos grandes com milhares de caminhos curtos, pois se apenas um desses caminhos falhar, todo o circuito não vai funcionar em qualquer freqüência. Usando os resultados medidos, a variabilidade é dividida entre sistemática e randômica residual usando métodos matemáticos. Testes de normalidade são aplicados a estes dados para verificar de eles são Gaussianos normais ou não. A probabilidade de violações de tempo de hold considerando nossos resultados medidos e skews de relógio típicos é calculada, mostrando que o problema de violações de tempo de hold aumenta com o avanço da tecnologia. Finalmente, um algoritmo para proteger circuitos digitais contra violações de tempo de hold em caminhos curtos é apresentado.With the shrinking of CMOS technology, the circuits are more and more subject to variability in the fabrication process. Statistical process variations are a critical issue for circuit design strategies to ensure high yield in sub-100nm technologies. In this work we present an on-chip measurement technique to characterize hold time violations of flip-flops in short logic paths, which are generated by clock-edge uncertainties in synchronous designs. Using a precise programmable clock-to-data skew generation circuit, a measurement resolution of ~1ps is achieved to emulate race conditions. Statistical variations of hold time violations are measured in a 130nm and 90nm lowpower CMOS technology for various register-to-register configurations, and also different conditions of temperature and Vdd. These violations are a critical issue in large designs with thousands of short paths, as if only one of these fails, the whole circuit will not work at any frequency. Using the measured results, the variability is divided between systematic and random residual using mathematical methods. Normality tests are applied to this data to check if they are normal Gaussians or not. The probability of hold time violations considering our measured data and typical clock skews is calculated, showing that the problem of hold time violations is increasing with technologic advances. Finally, an algorithm to protect digital circuits against hold time violations in short paths is presented.application/pdfengMicroeletrônicaCircuitos integradosProcess variabilityHold time violationsOn-chip testingClock skewFlip-flop characterizationRace immunityMicroelectronicsProtecting digital circuits against hold time violations due to process variationsinfo:eu-repo/semantics/publishedVersioninfo:eu-repo/semantics/doctoralThesisUniversidade Federal do Rio Grande do SulInstituto de InformáticaPrograma de Pós-Graduação em MicroeletrônicaPorto Alegre, BR-RS2007doutoradoinfo:eu-repo/semantics/openAccessreponame:Biblioteca Digital de Teses e Dissertações da UFRGSinstname:Universidade Federal do Rio Grande do Sul (UFRGS)instacron:UFRGSORIGINAL000628018.pdf000628018.pdfTexto completo (inglês)application/pdf4318908http://www.lume.ufrgs.br/bitstream/10183/12924/1/000628018.pdf4f404ec0a1be87d76e40a44b2957f6f0MD51TEXT000628018.pdf.txt000628018.pdf.txtExtracted Texttext/plain157363http://www.lume.ufrgs.br/bitstream/10183/12924/2/000628018.pdf.txt91f585396d4c9438586bfc738c395df1MD52THUMBNAIL000628018.pdf.jpg000628018.pdf.jpgGenerated Thumbnailimage/jpeg1158http://www.lume.ufrgs.br/bitstream/10183/12924/3/000628018.pdf.jpg54c9d057f2b18bed43f06381818aa81bMD5310183/129242021-05-07 04:54:24.874568oai:www.lume.ufrgs.br:10183/12924Biblioteca Digital de Teses e Dissertaçõeshttps://lume.ufrgs.br/handle/10183/2PUBhttps://lume.ufrgs.br/oai/requestlume@ufrgs.br||lume@ufrgs.bropendoar:18532021-05-07T07:54:24Biblioteca Digital de Teses e Dissertações da UFRGS - Universidade Federal do Rio Grande do Sul (UFRGS)false
dc.title.pt_BR.fl_str_mv Protecting digital circuits against hold time violations due to process variations
title Protecting digital circuits against hold time violations due to process variations
spellingShingle Protecting digital circuits against hold time violations due to process variations
Neuberger, Gustavo
Microeletrônica
Circuitos integrados
Process variability
Hold time violations
On-chip testing
Clock skew
Flip-flop characterization
Race immunity
Microelectronics
title_short Protecting digital circuits against hold time violations due to process variations
title_full Protecting digital circuits against hold time violations due to process variations
title_fullStr Protecting digital circuits against hold time violations due to process variations
title_full_unstemmed Protecting digital circuits against hold time violations due to process variations
title_sort Protecting digital circuits against hold time violations due to process variations
author Neuberger, Gustavo
author_facet Neuberger, Gustavo
author_role author
dc.contributor.author.fl_str_mv Neuberger, Gustavo
dc.contributor.advisor1.fl_str_mv Reis, Ricardo Augusto da Luz
dc.contributor.advisor-co1.fl_str_mv Wirth, Gilson Inacio
contributor_str_mv Reis, Ricardo Augusto da Luz
Wirth, Gilson Inacio
dc.subject.por.fl_str_mv Microeletrônica
Circuitos integrados
topic Microeletrônica
Circuitos integrados
Process variability
Hold time violations
On-chip testing
Clock skew
Flip-flop characterization
Race immunity
Microelectronics
dc.subject.eng.fl_str_mv Process variability
Hold time violations
On-chip testing
Clock skew
Flip-flop characterization
Race immunity
Microelectronics
description Com o desenvolvimento da tecnologia CMOS, os circuitos estão ficando cada vez mais sujeitos a variabilidade no processo de fabricação. Variações estatísticas de processo são um ponto crítico para estratégias de projeto de circuitos para garantir um yield alto em tecnologias sub-100nm. Neste trabalho apresentamos uma técnica de medida on-chip para caracterizar violações de tempo de hold de flip-flops em caminhos lógicos curtos, que são geradas por incertezas de borda de relógio em projetos síncronos. Usando um circuito programável preciso de geração de skew de relógio, uma resolução de medida de ~1ps é alcançada para emular condições de corrida. Variações estatísticas de violações de tempo de hold são medidas em tecnologias CMOS de 130nm e 90nm para diversas configurações de circuitos, e também para diferentes condições de temperatura e Vdd. Essas violações são um ponto crítico em projetos grandes com milhares de caminhos curtos, pois se apenas um desses caminhos falhar, todo o circuito não vai funcionar em qualquer freqüência. Usando os resultados medidos, a variabilidade é dividida entre sistemática e randômica residual usando métodos matemáticos. Testes de normalidade são aplicados a estes dados para verificar de eles são Gaussianos normais ou não. A probabilidade de violações de tempo de hold considerando nossos resultados medidos e skews de relógio típicos é calculada, mostrando que o problema de violações de tempo de hold aumenta com o avanço da tecnologia. Finalmente, um algoritmo para proteger circuitos digitais contra violações de tempo de hold em caminhos curtos é apresentado.
publishDate 2007
dc.date.issued.fl_str_mv 2007
dc.date.accessioned.fl_str_mv 2008-05-27T04:12:17Z
dc.type.status.fl_str_mv info:eu-repo/semantics/publishedVersion
dc.type.driver.fl_str_mv info:eu-repo/semantics/doctoralThesis
format doctoralThesis
status_str publishedVersion
dc.identifier.uri.fl_str_mv http://hdl.handle.net/10183/12924
dc.identifier.nrb.pt_BR.fl_str_mv 000628018
url http://hdl.handle.net/10183/12924
identifier_str_mv 000628018
dc.language.iso.fl_str_mv eng
language eng
dc.rights.driver.fl_str_mv info:eu-repo/semantics/openAccess
eu_rights_str_mv openAccess
dc.format.none.fl_str_mv application/pdf
dc.source.none.fl_str_mv reponame:Biblioteca Digital de Teses e Dissertações da UFRGS
instname:Universidade Federal do Rio Grande do Sul (UFRGS)
instacron:UFRGS
instname_str Universidade Federal do Rio Grande do Sul (UFRGS)
instacron_str UFRGS
institution UFRGS
reponame_str Biblioteca Digital de Teses e Dissertações da UFRGS
collection Biblioteca Digital de Teses e Dissertações da UFRGS
bitstream.url.fl_str_mv http://www.lume.ufrgs.br/bitstream/10183/12924/1/000628018.pdf
http://www.lume.ufrgs.br/bitstream/10183/12924/2/000628018.pdf.txt
http://www.lume.ufrgs.br/bitstream/10183/12924/3/000628018.pdf.jpg
bitstream.checksum.fl_str_mv 4f404ec0a1be87d76e40a44b2957f6f0
91f585396d4c9438586bfc738c395df1
54c9d057f2b18bed43f06381818aa81b
bitstream.checksumAlgorithm.fl_str_mv MD5
MD5
MD5
repository.name.fl_str_mv Biblioteca Digital de Teses e Dissertações da UFRGS - Universidade Federal do Rio Grande do Sul (UFRGS)
repository.mail.fl_str_mv lume@ufrgs.br||lume@ufrgs.br
_version_ 1810085118898864128