Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography

Detalhes bibliográficos
Autor(a) principal: Singh, Vikram
Data de Publicação: 2014
Outros Autores: Satyanarayana, Vardhineedi Sri Venkata, Batina, Nikola, Reyes, Israel Morales, Sharma, Satinder Kumar, Kessler, Felipe, Scheffer, Francine Ramos, Weibel, Daniel Eduardo, Ghosh, Subrata, Gonsalves, Kenneth E.
Tipo de documento: Artigo
Idioma: eng
Título da fonte: Repositório Institucional da UFRGS
Texto Completo: http://hdl.handle.net/10183/116515
Resumo: Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising nextgeneration lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ∕cm². The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.
id UFRGS-2_883f38a93ac6769b84a3daa1cdad2f3c
oai_identifier_str oai:www.lume.ufrgs.br:10183/116515
network_acronym_str UFRGS-2
network_name_str Repositório Institucional da UFRGS
repository_id_str
spelling Singh, VikramSatyanarayana, Vardhineedi Sri VenkataBatina, NikolaReyes, Israel MoralesSharma, Satinder KumarKessler, FelipeScheffer, Francine RamosWeibel, Daniel EduardoGhosh, SubrataGonsalves, Kenneth E.2015-05-16T02:00:42Z20141932-5134http://hdl.handle.net/10183/116515000965920Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising nextgeneration lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ∕cm². The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.application/pdfengJournal of micro-nanolithography mems and moems. Bellingham. Vol. 13, no. 4 (Oct. 2014), 043002, 9 p.Litografia por feixe de elétronsCopolímerosUltravioleta extremaPolímerosNonchemically amplified resistPostexposure bakePostapply bakeE-beam lithographyExtreme ultraviolet lithographySurface roughnessContrastSensitivityEtch resistancePerformance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithographyEstrangeiroinfo:eu-repo/semantics/articleinfo:eu-repo/semantics/publishedVersioninfo:eu-repo/semantics/openAccessreponame:Repositório Institucional da UFRGSinstname:Universidade Federal do Rio Grande do Sul (UFRGS)instacron:UFRGSORIGINAL000965920.pdf000965920.pdfTexto completo (inglês)application/pdf2517508http://www.lume.ufrgs.br/bitstream/10183/116515/1/000965920.pdf63402029681f0a908814a67cab43f157MD51TEXT000965920.pdf.txt000965920.pdf.txtExtracted Texttext/plain44967http://www.lume.ufrgs.br/bitstream/10183/116515/2/000965920.pdf.txtf8f864905e9146432ea4a43564248389MD52THUMBNAIL000965920.pdf.jpg000965920.pdf.jpgGenerated Thumbnailimage/jpeg1894http://www.lume.ufrgs.br/bitstream/10183/116515/3/000965920.pdf.jpg75240313e22e7566e2c2af125f61f104MD5310183/1165152018-10-22 08:12:21.641oai:www.lume.ufrgs.br:10183/116515Repositório de PublicaçõesPUBhttps://lume.ufrgs.br/oai/requestopendoar:2018-10-22T11:12:21Repositório Institucional da UFRGS - Universidade Federal do Rio Grande do Sul (UFRGS)false
dc.title.pt_BR.fl_str_mv Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
title Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
spellingShingle Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
Singh, Vikram
Litografia por feixe de elétrons
Copolímeros
Ultravioleta extrema
Polímeros
Nonchemically amplified resist
Postexposure bake
Postapply bake
E-beam lithography
Extreme ultraviolet lithography
Surface roughness
Contrast
Sensitivity
Etch resistance
title_short Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
title_full Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
title_fullStr Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
title_full_unstemmed Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
title_sort Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
author Singh, Vikram
author_facet Singh, Vikram
Satyanarayana, Vardhineedi Sri Venkata
Batina, Nikola
Reyes, Israel Morales
Sharma, Satinder Kumar
Kessler, Felipe
Scheffer, Francine Ramos
Weibel, Daniel Eduardo
Ghosh, Subrata
Gonsalves, Kenneth E.
author_role author
author2 Satyanarayana, Vardhineedi Sri Venkata
Batina, Nikola
Reyes, Israel Morales
Sharma, Satinder Kumar
Kessler, Felipe
Scheffer, Francine Ramos
Weibel, Daniel Eduardo
Ghosh, Subrata
Gonsalves, Kenneth E.
author2_role author
author
author
author
author
author
author
author
author
dc.contributor.author.fl_str_mv Singh, Vikram
Satyanarayana, Vardhineedi Sri Venkata
Batina, Nikola
Reyes, Israel Morales
Sharma, Satinder Kumar
Kessler, Felipe
Scheffer, Francine Ramos
Weibel, Daniel Eduardo
Ghosh, Subrata
Gonsalves, Kenneth E.
dc.subject.por.fl_str_mv Litografia por feixe de elétrons
Copolímeros
Ultravioleta extrema
Polímeros
topic Litografia por feixe de elétrons
Copolímeros
Ultravioleta extrema
Polímeros
Nonchemically amplified resist
Postexposure bake
Postapply bake
E-beam lithography
Extreme ultraviolet lithography
Surface roughness
Contrast
Sensitivity
Etch resistance
dc.subject.eng.fl_str_mv Nonchemically amplified resist
Postexposure bake
Postapply bake
E-beam lithography
Extreme ultraviolet lithography
Surface roughness
Contrast
Sensitivity
Etch resistance
description Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising nextgeneration lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8 nm and a sensitivity of 5 to 20 mJ∕cm². The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50 nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.
publishDate 2014
dc.date.issued.fl_str_mv 2014
dc.date.accessioned.fl_str_mv 2015-05-16T02:00:42Z
dc.type.driver.fl_str_mv Estrangeiro
info:eu-repo/semantics/article
dc.type.status.fl_str_mv info:eu-repo/semantics/publishedVersion
format article
status_str publishedVersion
dc.identifier.uri.fl_str_mv http://hdl.handle.net/10183/116515
dc.identifier.issn.pt_BR.fl_str_mv 1932-5134
dc.identifier.nrb.pt_BR.fl_str_mv 000965920
identifier_str_mv 1932-5134
000965920
url http://hdl.handle.net/10183/116515
dc.language.iso.fl_str_mv eng
language eng
dc.relation.ispartof.pt_BR.fl_str_mv Journal of micro-nanolithography mems and moems. Bellingham. Vol. 13, no. 4 (Oct. 2014), 043002, 9 p.
dc.rights.driver.fl_str_mv info:eu-repo/semantics/openAccess
eu_rights_str_mv openAccess
dc.format.none.fl_str_mv application/pdf
dc.source.none.fl_str_mv reponame:Repositório Institucional da UFRGS
instname:Universidade Federal do Rio Grande do Sul (UFRGS)
instacron:UFRGS
instname_str Universidade Federal do Rio Grande do Sul (UFRGS)
instacron_str UFRGS
institution UFRGS
reponame_str Repositório Institucional da UFRGS
collection Repositório Institucional da UFRGS
bitstream.url.fl_str_mv http://www.lume.ufrgs.br/bitstream/10183/116515/1/000965920.pdf
http://www.lume.ufrgs.br/bitstream/10183/116515/2/000965920.pdf.txt
http://www.lume.ufrgs.br/bitstream/10183/116515/3/000965920.pdf.jpg
bitstream.checksum.fl_str_mv 63402029681f0a908814a67cab43f157
f8f864905e9146432ea4a43564248389
75240313e22e7566e2c2af125f61f104
bitstream.checksumAlgorithm.fl_str_mv MD5
MD5
MD5
repository.name.fl_str_mv Repositório Institucional da UFRGS - Universidade Federal do Rio Grande do Sul (UFRGS)
repository.mail.fl_str_mv
_version_ 1801224870898434048